sealed class Vec[T <: Data] extends Aggregate with VecLike[T]
A vector (array) of Data elements. Provides hardware versions of various collection transformation functions found in software array implementations.
Careful consideration should be given over the use of Vec vs Seq or some other Scala collection. In general Vec only needs to be used when there is a need to express the hardware collection in a Reg or IO Bundle or when access to elements of the array is indexed via a hardware signal.
Example of indexing into a Vec using a hardware address and where the Vec is defined in an IO Bundle
val io = IO(new Bundle { val in = Input(Vec(20, UInt(16.W))) val addr = Input(UInt(5.W)) val out = Output(UInt(16.W)) }) io.out := io.in(io.addr)
- T
type of elements
- Source
- Aggregate.scala
- Note
- when multiple conflicting assignments are performed on a Vec element, the last one takes effect (unlike Mem, where the result is undefined)
- Vecs, unlike classes in Scala's collection library, are propagated intact to FIRRTL as a vector type, which may make debugging easier
- Grouped
- Alphabetic
- By Inheritance
- Vec
- VecLike
- IndexedSeq
- IndexedSeqOps
- IndexedSeq
- IndexedSeqOps
- Seq
- SeqOps
- Seq
- Equals
- SeqOps
- PartialFunction
- Function1
- Iterable
- Iterable
- IterableFactoryDefaults
- IterableOps
- IterableOnceOps
- IterableOnce
- Aggregate
- Data
- SourceInfoDoc
- NamedComponent
- HasId
- InstanceId
- AnyRef
- Any
- by UnliftOps
- by iterableOnceExtensionMethods
- by AsReadOnly
- by DataEquality
- by toConnectableDefault
- by ConnectableVecDefault
- by ConnectableDefault
- by any2stringadd
- by StringFormat
- by Ensuring
- by ArrowAssoc
- Hide All
- Show All
- Public
- Protected
Value Members
- final def !=(arg0: Any): Boolean
- Definition Classes
- AnyRef → Any
- final def ##: Int
- Definition Classes
- AnyRef → Any
- def +(other: String): String
- final def ++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- IterableOps
- Annotations
- @inline()
- final def ++:[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- SeqOps → IterableOps
- Annotations
- @inline()
- final def +:[B >: T](elem: B): IndexedSeq[B]
- Definition Classes
- SeqOps
- Annotations
- @inline()
- def ->[B](y: B): (Vec[T], B)
- def :#=(producer: Seq[T])(implicit sourceInfo: SourceInfo): Unit
The "mono-direction connection operator", aka the "coercion operator".
The "mono-direction connection operator", aka the "coercion operator".
For
consumer :#= producer
, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '#' means to ignore flips, always drive from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes: - Connecting two
util.DecoupledIO
's would connectbits
,valid
, ANDready
from producer to consumer (despiteready
being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=- producer
the right-hand-side of the connection, all members will be driving, none will be driven-to
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableVecDefault[T] performed by method ConnectableVecDefault in chisel3.Data.This conversion will take place only if T is a subclass of Data (T <: Data).
- Definition Classes
- ConnectableVecOperators
- final def :#=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "mono-direction connection operator", aka the "coercion operator".
The "mono-direction connection operator", aka the "coercion operator".
For
consumer :#= producer
, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '#' means to ignore flips, always drive from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes: - Connecting two
util.DecoupledIO
's would connectbits
,valid
, ANDready
from producer to consumer (despiteready
being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=- producer
the right-hand-side of the connection, all members will be driving, none will be driven-to
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :#=[S <: Data](lProducer: => S)(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "mono-direction connection operator", aka the "coercion operator".
The "mono-direction connection operator", aka the "coercion operator".
For
consumer :#= producer
, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '#' means to ignore flips, always drive from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes: - Connecting two
util.DecoupledIO
's would connectbits
,valid
, ANDready
from producer to consumer (despiteready
being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :+[B >: T](elem: B): IndexedSeq[B]
- Definition Classes
- SeqOps
- Annotations
- @inline()
- final def :++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- SeqOps
- Annotations
- @inline()
- def :<=(producer: Seq[T])(implicit sourceInfo: SourceInfo): Unit
The "aligned connection operator" between a producer and consumer.
The "aligned connection operator" between a producer and consumer.
For
consumer :<= producer
, each ofconsumer
's leaf members which are aligned with respect toconsumer
are driven from the correspondingproducer
leaf member. Onlyconsumer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectbits
andvalid
from producer to consumer, but leaveready
unconnected
- producer
the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableVecDefault[T] performed by method ConnectableVecDefault in chisel3.Data.This conversion will take place only if T is a subclass of Data (T <: Data).
- Definition Classes
- ConnectableVecOperators
- final def :<=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit
The "aligned connection operator" between a producer and consumer.
The "aligned connection operator" between a producer and consumer.
For
consumer :<= producer
, each ofconsumer
's leaf members which are aligned with respect toconsumer
are driven from the correspondingproducer
leaf member. Onlyconsumer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectbits
andvalid
from producer to consumer, but leaveready
unconnected
- producer
the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :<=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "aligned connection operator" between a producer and consumer.
The "aligned connection operator" between a producer and consumer.
For
consumer :<= producer
, each ofconsumer
's leaf members which are aligned with respect toconsumer
are driven from the correspondingproducer
leaf member. Onlyconsumer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectbits
andvalid
from producer to consumer, but leaveready
unconnected
- producer
the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :<=[S <: Data](lProducer: => S)(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "aligned connection operator" between a producer and consumer.
The "aligned connection operator" between a producer and consumer.
For
consumer :<= producer
, each ofconsumer
's leaf members which are aligned with respect toconsumer
are driven from the correspondingproducer
leaf member. Onlyconsumer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectbits
andvalid
from producer to consumer, but leaveready
unconnected
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- def :<>=(producer: Seq[T])(implicit sourceInfo: SourceInfo): Unit
The "bi-direction connection operator", aka the "tur-duck-en operator"
The "bi-direction connection operator", aka the "tur-duck-en operator"
For
consumer :<>= producer
, both producer and consumer leafs could be driving or be driven-to. Theconsumer
's members aligned w.r.t.consumer
will be driven by corresponding members ofproducer
; theproducer
's members flipped w.r.t.producer
will be driven by corresponding members ofconsumer
Identical to calling
:<=
and:>=
in sequence (order is irrelevant), e.g.consumer :<= producer
thenconsumer :>= producer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
- An additional type restriction is that all relative orientations of
consumer
andproducer
must match exactly.
Additional notes:
- Connecting two wires of
util.DecoupledIO
chisel type would connectbits
andvalid
from producer to consumer, andready
from consumer to producer. - If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
- "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken;
:<>=
is a:=
stuffed with a<>
- producer
the right-hand-side of the connection
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableVecDefault[T] performed by method ConnectableVecDefault in chisel3.Data.This conversion will take place only if T is a subclass of Data (T <: Data).
- Definition Classes
- ConnectableVecOperators
- final def :<>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit
The "bi-direction connection operator", aka the "tur-duck-en operator"
The "bi-direction connection operator", aka the "tur-duck-en operator"
For
consumer :<>= producer
, both producer and consumer leafs could be driving or be driven-to. Theconsumer
's members aligned w.r.t.consumer
will be driven by corresponding members ofproducer
; theproducer
's members flipped w.r.t.producer
will be driven by corresponding members ofconsumer
Identical to calling
:<=
and:>=
in sequence (order is irrelevant), e.g.consumer :<= producer
thenconsumer :>= producer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
- An additional type restriction is that all relative orientations of
consumer
andproducer
must match exactly.
Additional notes:
- Connecting two wires of
util.DecoupledIO
chisel type would connectbits
andvalid
from producer to consumer, andready
from consumer to producer. - If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
- "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken;
:<>=
is a:=
stuffed with a<>
- producer
the right-hand-side of the connection
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :<>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "bi-direction connection operator", aka the "tur-duck-en operator"
The "bi-direction connection operator", aka the "tur-duck-en operator"
For
consumer :<>= producer
, both producer and consumer leafs could be driving or be driven-to. Theconsumer
's members aligned w.r.t.consumer
will be driven by corresponding members ofproducer
; theproducer
's members flipped w.r.t.producer
will be driven by corresponding members ofconsumer
Identical to calling
:<=
and:>=
in sequence (order is irrelevant), e.g.consumer :<= producer
thenconsumer :>= producer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
- An additional type restriction is that all relative orientations of
consumer
andproducer
must match exactly.
Additional notes:
- Connecting two wires of
util.DecoupledIO
chisel type would connectbits
andvalid
from producer to consumer, andready
from consumer to producer. - If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
- "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken;
:<>=
is a:=
stuffed with a<>
- producer
the right-hand-side of the connection
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :<>=[S <: Data](lProducer: => S)(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "bi-direction connection operator", aka the "tur-duck-en operator"
The "bi-direction connection operator", aka the "tur-duck-en operator"
For
consumer :<>= producer
, both producer and consumer leafs could be driving or be driven-to. Theconsumer
's members aligned w.r.t.consumer
will be driven by corresponding members ofproducer
; theproducer
's members flipped w.r.t.producer
will be driven by corresponding members ofconsumer
Identical to calling
:<=
and:>=
in sequence (order is irrelevant), e.g.consumer :<= producer
thenconsumer :>= producer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '<' means to connect from producer to consumer
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
- An additional type restriction is that all relative orientations of
consumer
andproducer
must match exactly.
Additional notes:
- Connecting two wires of
util.DecoupledIO
chisel type would connectbits
andvalid
from producer to consumer, andready
from consumer to producer. - If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
- "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken;
:<>=
is a:=
stuffed with a<>
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- def :=(that: Vec[T])(implicit sourceInfo: SourceInfo): Unit
"The strong connect operator", assigning elements in this Vec from elements in a Vec.
"The strong connect operator", assigning elements in this Vec from elements in a Vec.
For chisel3._, this operator is mono-directioned; all sub-elements of
this
will be driven by sub-elements ofthat
.- Equivalent to
this :#= that
For Chisel._, this operator connections bi-directionally via emitting the FIRRTL.<=
- Equivalent to
this :<>= that
, with the additional restriction that the relative bundle field flips must match
- Equivalent to
- def :=(that: Seq[T])(implicit sourceInfo: SourceInfo): Unit
"The strong connect operator", assigning elements in this Vec from elements in a Seq.
"The strong connect operator", assigning elements in this Vec from elements in a Seq.
For chisel3._, this operator is mono-directioned; all sub-elements of
this
will be driven by sub-elements ofthat
.- Equivalent to
this :#= that
For Chisel._, this operator connections bi-directionally via emitting the FIRRTL.<=
- Equivalent to
this :<>= that
- Note
the length of this Vec must match the length of the input Seq
- Equivalent to
- final def :=(that: => Data)(implicit sourceInfo: SourceInfo): Unit
The "strong connect" operator.
The "strong connect" operator.
For chisel3._, this operator is mono-directioned; all sub-elements of
this
will be driven by sub-elements ofthat
.- Equivalent to
this :#= that
For Chisel._, this operator connections bi-directionally via emitting the FIRRTL.<=
- Equivalent to
this :<>= that
- that
the Data to connect from
- Definition Classes
- Data
- Equivalent to
- def :>=(producer: Seq[T])(implicit sourceInfo: SourceInfo): Unit
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
For
consumer :>= producer
, each ofproducer
's leaf members which are flipped with respect toproducer
are driven from the corresponding consumer leaf member Onlyproducer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectready
from consumer to producer, but leavebits
andvalid
unconnected
- producer
the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableVecDefault[T] performed by method ConnectableVecDefault in chisel3.Data.This conversion will take place only if T is a subclass of Data (T <: Data).
- Definition Classes
- ConnectableVecOperators
- final def :>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
For
consumer :>= producer
, each ofproducer
's leaf members which are flipped with respect toproducer
are driven from the corresponding consumer leaf member Onlyproducer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectready
from consumer to producer, but leavebits
andvalid
unconnected
- producer
the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
For
consumer :>= producer
, each ofproducer
's leaf members which are flipped with respect toproducer
are driven from the corresponding consumer leaf member Onlyproducer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectready
from consumer to producer, but leavebits
andvalid
unconnected
- producer
the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- final def :>=[S <: Data](lProducer: => S)(implicit evidence: =:=[Vec[T], S], sourceInfo: SourceInfo): Unit
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.
For
consumer :>= producer
, each ofproducer
's leaf members which are flipped with respect toproducer
are driven from the corresponding consumer leaf member Onlyproducer
's leaf/branch alignments influence the connection.Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '>' means to connect from consumer to producer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes:
- Connecting two
util.DecoupledIO
's would connectready
from consumer to producer, but leavebits
andvalid
unconnected
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Definition Classes
- ConnectableOpExtension
- def <>(that: Vec[T])(implicit sourceInfo: SourceInfo): Unit
The "bulk connect operator", assigning elements in this Vec from elements in a Vec.
The "bulk connect operator", assigning elements in this Vec from elements in a Vec.
For chisel3._, uses the
chisel3.internal.BiConnect
algorithm; sub-elements ofthat
may end up driving sub-elements ofthis
- See docs/src/explanations/connection-operators.md for details
For Chisel._, emits the FIRRTL.<- operator
- Equivalent to
this :<>= that
without the restrictions that bundle field names and vector sizes must match
- that
the Vec to connect from
- def <>(that: Seq[T])(implicit sourceInfo: SourceInfo): Unit
The "bulk connect operator", assigning elements in this Vec from elements in a Seq.
The "bulk connect operator", assigning elements in this Vec from elements in a Seq.
For chisel3._, uses the
chisel3.internal.BiConnect
algorithm; sub-elements ofthat
may end up driving sub-elements ofthis
- Complicated semantics, will likely be deprecated in the future
For Chisel._, emits the FIRRTL.<- operator
- Equivalent to
this :<>= that
but bundle field names and vector sizes do not have to match
- that
the Seq to connect from
- Note
the length of this Vec and that Seq must match
- final def <>(that: => Data)(implicit sourceInfo: SourceInfo): Unit
The "bulk connect operator", assigning elements in this Vec from elements in a Vec.
The "bulk connect operator", assigning elements in this Vec from elements in a Vec.
For chisel3._, uses the
chisel3.internal.BiConnect
algorithm; sub-elements of thatmay end up driving sub-elements of
this- Complicated semantics, hard to write quickly, will likely be deprecated in the future
For Chisel._, emits the FIRRTL.<- operator
- Equivalent to
this :<>= that
without the restrictions that bundle field names and vector sizes must match
- that
the Data to connect from
- Definition Classes
- Data
- final def ==(arg0: Any): Boolean
- Definition Classes
- AnyRef → Any
- def ===(rhs: Vec[T]): Bool
Dynamic recursive equality operator for generic Data
Dynamic recursive equality operator for generic Data
- Implicit
- This member is added by an implicit conversion from Vec[T] toDataEquality[Vec[T]] performed by method DataEquality in chisel3.Data.
- Definition Classes
- DataEquality
- Exceptions thrown
ChiselException
whenlhs
andrhs
are different types during elaboration time
- final def addString(b: StringBuilder): b.type
- Definition Classes
- IterableOnceOps
- Annotations
- @inline()
- final def addString(b: StringBuilder, sep: String): b.type
- Definition Classes
- IterableOnceOps
- Annotations
- @inline()
- def addString(b: StringBuilder, start: String, sep: String, end: String): b.type
- Definition Classes
- IterableOnceOps
- def andThen[C](k: PartialFunction[T, C]): PartialFunction[Int, C]
- Definition Classes
- PartialFunction
- def andThen[C](k: (T) => C): PartialFunction[Int, C]
- Definition Classes
- PartialFunction → Function1
- def appended[B >: T](elem: B): IndexedSeq[B]
- Definition Classes
- SeqOps
- def appendedAll[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- SeqOps
- def apply(idx: Int): T
Creates a statically indexed read or write accessor into the array.
Creates a statically indexed read or write accessor into the array.
- Definition Classes
- Vec → SeqOps → Function1
- macro def apply(p: UInt): T
Creates a dynamically indexed read or write accessor into the array.
Creates a dynamically indexed read or write accessor into the array.
- Definition Classes
- VecLike
- def applyOrElse[A1 <: Int, B1 >: T](x: A1, default: (A1) => B1): B1
- Definition Classes
- PartialFunction
- def applyPreferredMaxLength: Int
- Attributes
- protected
- Definition Classes
- IndexedSeq
- def as[S <: Data](implicit ev: <:<[Vec[T], S]): connectable.Connectable[S]
Static cast to a super type
Static cast to a super type
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- final def asInstanceOf[T0]: T0
- Definition Classes
- Any
- macro def asTypeOf[T <: Data](that: T): T
Does a reinterpret cast of the bits in this node into the format that provides.
Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.
x.asTypeOf(that) performs the inverse operation of x := that.toBits.
- Definition Classes
- Data
- Note
bit widths are NOT checked, may pad or drop bits from input
,that should have known widths
- final macro def asUInt: UInt
Reinterpret cast to UInt.
Reinterpret cast to UInt.
- Definition Classes
- Data
- Note
value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7
,Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.
- def autoSeed(name: String): Vec.this.type
Takes the last seed suggested.
Takes the last seed suggested. Multiple calls to this function will take the last given seed, unless this HasId is a module port (see overridden method in Data.scala).
If the final computed name conflicts with the final name of another signal, the final name may get uniquified by appending a digit at the end of the name.
Is a lower priority than suggestName, in that regardless of whether autoSeed was called, suggestName will always take precedence if it was called.
- returns
this object
- Definition Classes
- Data → HasId
- val base: Vec[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def binding: Option[Binding]
- def binding_=(target: Binding): Unit
- Attributes
- protected
- Definition Classes
- Data
- def canEqual(that: Any): Boolean
- Definition Classes
- IndexedSeq → Seq → Equals
- def circuitName: String
- Definition Classes
- HasId
- def className: String
- Attributes
- protected[this]
- Definition Classes
- Iterable
- def clone(): AnyRef
- Attributes
- protected[lang]
- Definition Classes
- AnyRef
- Annotations
- @throws(classOf[java.lang.CloneNotSupportedException]) @native()
- def cloneType: Vec.this.type
Internal API; Chisel users should look at chisel3.chiselTypeOf(...).
- final def coll: Vec.this.type
- Attributes
- protected
- Definition Classes
- Iterable → IterableOps
- def collect[B](pf: PartialFunction[T, B]): IndexedSeq[B]
- Definition Classes
- IterableOps → IterableOnceOps
- def collectFirst[B](pf: PartialFunction[T, B]): Option[B]
- Definition Classes
- IterableOnceOps
- def combinations(n: Int): Iterator[IndexedSeq[T]]
- Definition Classes
- SeqOps
- def compose[R](k: PartialFunction[R, Int]): PartialFunction[R, T]
- Definition Classes
- PartialFunction
- def compose[A](g: (A) => Int): (A) => T
- Definition Classes
- Function1
- Annotations
- @unspecialized()
- final def concat[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- SeqOps → IterableOps
- Annotations
- @inline()
- macro def contains(x: T)(implicit ev: <:<[T, UInt]): Bool
Outputs true if the vector contains at least one element equal to x (using the === operator).
Outputs true if the vector contains at least one element equal to x (using the === operator).
- Definition Classes
- VecLike
- def contains[A1 >: T](elem: A1): Boolean
- Definition Classes
- SeqOps
- def containsAFlipped: Boolean
- def containsSlice[B >: T](that: Seq[B]): Boolean
- Definition Classes
- SeqOps
- def copyToArray[B >: T](xs: Array[B], start: Int, len: Int): Int
- Definition Classes
- IterableOnceOps
- def copyToArray[B >: T](xs: Array[B], start: Int): Int
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecatedOverriding()
- def copyToArray[B >: T](xs: Array[B]): Int
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecatedOverriding()
- def corresponds[B](that: Seq[B])(p: (T, B) => Boolean): Boolean
- Definition Classes
- SeqOps
- def corresponds[B](that: IterableOnce[B])(p: (T, B) => Boolean): Boolean
- Definition Classes
- IterableOnceOps
- macro def count(p: (T) => Bool): UInt
Outputs the number of elements for which p is true.
Outputs the number of elements for which p is true.
- Definition Classes
- VecLike
- def count(p: (T) => Boolean): Int
- Definition Classes
- IterableOnceOps
- def diff[B >: T](that: Seq[B]): IndexedSeq[T]
- Definition Classes
- SeqOps
- def distinct: IndexedSeq[T]
- Definition Classes
- SeqOps
- def distinctBy[B](f: (T) => B): IndexedSeq[T]
- Definition Classes
- SeqOps
- def do_apply(p: UInt)(implicit sourceInfo: SourceInfo): T
- def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo): T
- Definition Classes
- Data
- def do_asUInt(implicit sourceInfo: SourceInfo): UInt
- Definition Classes
- Data
- def do_contains(x: T)(implicit sourceInfo: SourceInfo, ev: <:<[T, UInt]): Bool
- Definition Classes
- VecLike
- def do_count(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt
- Definition Classes
- VecLike
- def do_exists(p: (T) => Bool)(implicit sourceInfo: SourceInfo): Bool
- Definition Classes
- VecLike
- def do_forall(p: (T) => Bool)(implicit sourceInfo: SourceInfo): Bool
- Definition Classes
- VecLike
- def do_indexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt
- Definition Classes
- VecLike
- def do_lastIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt
- Definition Classes
- VecLike
- def do_onlyIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo): UInt
- Definition Classes
- VecLike
- def do_reduceTree(redOp: (T, T) => T, layerOp: (T) => T = (x: T) => x)(implicit sourceInfo: SourceInfo): T
- def drop(n: Int): IndexedSeq[T]
- Definition Classes
- IndexedSeqOps → IterableOps → IterableOnceOps
- def dropRight(n: Int): IndexedSeq[T]
- Definition Classes
- IndexedSeqOps → IterableOps
- def dropWhile(p: (T) => Boolean): IndexedSeq[T]
- Definition Classes
- IterableOps → IterableOnceOps
- def elementWise: ElementWiseExtractor[Int, T]
- Definition Classes
- PartialFunction
- def empty: IndexedSeq[T]
- Definition Classes
- IterableFactoryDefaults → IterableOps
- def endsWith[B >: T](that: Iterable[B]): Boolean
- Definition Classes
- SeqOps
- def ensuring(cond: (Vec[T]) => Boolean, msg: => Any): Vec[T]
- def ensuring(cond: (Vec[T]) => Boolean): Vec[T]
- def ensuring(cond: Boolean, msg: => Any): Vec[T]
- def ensuring(cond: Boolean): Vec[T]
- final def eq(arg0: AnyRef): Boolean
- Definition Classes
- AnyRef
- def equals(that: Any): Boolean
- Definition Classes
- VecLike → HasId → Seq → Equals → AnyRef → Any
- def exclude(members: (Vec[T]) => Data*): connectable.Connectable[Vec[T]]
Select members of base to exclude
Select members of base to exclude
- members
functions given the base return a member to exclude
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def exclude: connectable.Connectable[Vec[T]]
Adds base to excludes
Adds base to excludes
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def excludeAs[S <: Data](members: (Vec[T]) => Data*)(implicit ev: <:<[Vec[T], S]): connectable.Connectable[S]
Select members of base to exclude and static cast to a new type
Select members of base to exclude and static cast to a new type
- members
functions given the base return a member to exclude
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def excludeEach[S <: Data](pf: PartialFunction[Data, Seq[Data]])(implicit ev: <:<[Vec[T], S]): connectable.Connectable[S]
Programmatically select members of base to exclude and static cast to a new type
Programmatically select members of base to exclude and static cast to a new type
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def excludeProbes: connectable.Connectable[Vec[T]]
Exclude probes
Exclude probes
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- macro def exists(p: (T) => Bool): Bool
Outputs true if p outputs true for at least one element.
Outputs true if p outputs true for at least one element.
- Definition Classes
- VecLike
- def exists(p: (T) => Boolean): Boolean
- Definition Classes
- IterableOnceOps
- def filter(pred: (T) => Boolean): IndexedSeq[T]
- Definition Classes
- IterableOps → IterableOnceOps
- def filterNot(pred: (T) => Boolean): IndexedSeq[T]
- Definition Classes
- IterableOps → IterableOnceOps
- def finalize(): Unit
- Attributes
- protected[lang]
- Definition Classes
- AnyRef
- Annotations
- @throws(classOf[java.lang.Throwable])
- def find(p: (T) => Boolean): Option[T]
- Definition Classes
- IterableOnceOps
- def findLast(p: (T) => Boolean): Option[T]
- Definition Classes
- SeqOps
- def flatMap[B](f: (T) => IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- IterableOps → IterableOnceOps
- def flatten[B](implicit asIterable: (T) => IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- IterableOps → IterableOnceOps
- def fold[A1 >: T](z: A1)(op: (A1, A1) => A1): A1
- Definition Classes
- IterableOnceOps
- def foldLeft[B](z: B)(op: (B, T) => B): B
- Definition Classes
- IterableOnceOps
- def foldRight[B](z: B)(op: (T, B) => B): B
- Definition Classes
- IndexedSeqOps → IterableOnceOps
- macro def forall(p: (T) => Bool): Bool
Outputs true if p outputs true for every element.
Outputs true if p outputs true for every element.
- Definition Classes
- VecLike
- def forall(p: (T) => Boolean): Boolean
- Definition Classes
- IterableOnceOps
- def foreach[U](f: (T) => U): Unit
- Definition Classes
- IterableOnceOps
- def fromSpecific(coll: IterableOnce[T]): IndexedSeq[T]
- Attributes
- protected
- Definition Classes
- IterableFactoryDefaults → IterableOps
- final def getClass(): Class[_ <: AnyRef]
- Definition Classes
- AnyRef → Any
- Annotations
- @native()
- def getElements: Seq[Data]
Returns a Seq of the immediate contents of this Aggregate, in order.
- final def getWidth: Int
Returns the width, in bits, if currently known.
Returns the width, in bits, if currently known.
- Definition Classes
- Data
- def groupBy[K](f: (T) => K): Map[K, IndexedSeq[T]]
- Definition Classes
- IterableOps
- def groupMap[K, B](key: (T) => K)(f: (T) => B): Map[K, IndexedSeq[B]]
- Definition Classes
- IterableOps
- def groupMapReduce[K, B](key: (T) => K)(f: (T) => B)(reduce: (B, B) => B): Map[K, B]
- Definition Classes
- IterableOps
- def grouped(size: Int): Iterator[IndexedSeq[T]]
- Definition Classes
- IterableOps
- def hasSeed: Boolean
- returns
Whether either autoName or suggestName has been called
- Definition Classes
- HasId
- def hashCode(): Int
- Definition Classes
- VecLike → HasId → Seq → AnyRef → Any
- def head: T
- Definition Classes
- IndexedSeqOps → IterableOps
- def headOption: Option[T]
- Definition Classes
- IndexedSeqOps → IterableOps
- def indexOf[B >: T](elem: B): Int
- Definition Classes
- SeqOps
- Annotations
- @deprecatedOverriding()
- def indexOf[B >: T](elem: B, from: Int): Int
- Definition Classes
- SeqOps
- def indexOfSlice[B >: T](that: Seq[B]): Int
- Definition Classes
- SeqOps
- Annotations
- @deprecatedOverriding()
- def indexOfSlice[B >: T](that: Seq[B], from: Int): Int
- Definition Classes
- SeqOps
- macro def indexWhere(p: (T) => Bool): UInt
Outputs the index of the first element for which p outputs true.
Outputs the index of the first element for which p outputs true.
- Definition Classes
- VecLike
- def indexWhere(p: (T) => Boolean): Int
- Definition Classes
- SeqOps
- Annotations
- @deprecatedOverriding()
- def indexWhere(p: (T) => Boolean, from: Int): Int
- Definition Classes
- SeqOps
- def indices: Range
- Definition Classes
- SeqOps
- def init: IndexedSeq[T]
- Definition Classes
- IterableOps
- def inits: Iterator[IndexedSeq[T]]
- Definition Classes
- IterableOps
- def instanceName: String
- Definition Classes
- HasId → InstanceId
- def intersect[B >: T](that: Seq[B]): IndexedSeq[T]
- Definition Classes
- SeqOps
- def isDefinedAt(idx: Int): Boolean
- Definition Classes
- SeqOps
- def isEmpty: Boolean
- Definition Classes
- SeqOps → IterableOnceOps
- final def isInstanceOf[T0]: Boolean
- Definition Classes
- Any
- def isLit: Boolean
- Definition Classes
- Data
- def isTraversableAgain: Boolean
- Definition Classes
- IterableOps → IterableOnceOps
- final def isWidthKnown: Boolean
Returns whether the width is currently known.
Returns whether the width is currently known.
- Definition Classes
- Data
- def iterableFactory: SeqFactory[IndexedSeq]
- Definition Classes
- IndexedSeq → IndexedSeq → Seq → Seq → Iterable → Iterable → IterableOps
- def iterator: Iterator[T]
- Definition Classes
- IndexedSeqOps → IterableOnce
- def knownSize: Int
- Definition Classes
- IndexedSeqOps → IterableOnce
- def last: T
- Definition Classes
- IndexedSeqOps → IterableOps
- def lastIndexOf[B >: T](elem: B, end: Int): Int
- Definition Classes
- SeqOps
- def lastIndexOfSlice[B >: T](that: Seq[B]): Int
- Definition Classes
- SeqOps
- Annotations
- @deprecatedOverriding()
- def lastIndexOfSlice[B >: T](that: Seq[B], end: Int): Int
- Definition Classes
- SeqOps
- macro def lastIndexWhere(p: (T) => Bool): UInt
Outputs the index of the last element for which p outputs true.
Outputs the index of the last element for which p outputs true.
- Definition Classes
- VecLike
- def lastIndexWhere(p: (T) => Boolean): Int
- Definition Classes
- SeqOps
- Annotations
- @deprecatedOverriding()
- def lastIndexWhere(p: (T) => Boolean, end: Int): Int
- Definition Classes
- SeqOps
- def lastOption: Option[T]
- Definition Classes
- IterableOps
- def lazyZip[B](that: Iterable[B]): LazyZip2[T, B, Vec.this.type]
- Definition Classes
- Iterable
- val length: Int
- Definition Classes
- Vec → SeqOps
- final def lengthCompare(that: Iterable[_]): Int
- Definition Classes
- IndexedSeqOps → SeqOps
- final def lengthCompare(len: Int): Int
- Definition Classes
- IndexedSeqOps → SeqOps
- final def lengthIs: SizeCompareOps
- Definition Classes
- SeqOps
- Annotations
- @inline()
- def lift: (Int) => Option[T]
- Definition Classes
- PartialFunction
- def litOption: Option[BigInt]
Return an Aggregate's literal value if it is a literal, None otherwise.
Return an Aggregate's literal value if it is a literal, None otherwise. If any element of the aggregate is not a literal (or DontCare), the result isn't a literal.
- returns
an Aggregate's literal value if it is a literal, None otherwise.
- def litValue: BigInt
Return an Aggregate's literal value if it is a literal, otherwise an exception is thrown.
- def map[B](f: (T) => B): IndexedSeq[B]
- Definition Classes
- IndexedSeqOps → IterableOps → IterableOnceOps
- def max[B >: T](implicit ord: Ordering[B]): T
- Definition Classes
- IterableOnceOps
- def maxBy[B](f: (T) => B)(implicit ord: Ordering[B]): T
- Definition Classes
- IterableOnceOps
- def maxByOption[B](f: (T) => B)(implicit ord: Ordering[B]): Option[T]
- Definition Classes
- IterableOnceOps
- def maxOption[B >: T](implicit ord: Ordering[B]): Option[T]
- Definition Classes
- IterableOnceOps
- def min[B >: T](implicit ord: Ordering[B]): T
- Definition Classes
- IterableOnceOps
- def minBy[B](f: (T) => B)(implicit ord: Ordering[B]): T
- Definition Classes
- IterableOnceOps
- def minByOption[B](f: (T) => B)(implicit ord: Ordering[B]): Option[T]
- Definition Classes
- IterableOnceOps
- def minOption[B >: T](implicit ord: Ordering[B]): Option[T]
- Definition Classes
- IterableOnceOps
- final def mkString: String
- Definition Classes
- IterableOnceOps
- Annotations
- @inline()
- final def mkString(sep: String): String
- Definition Classes
- IterableOnceOps
- Annotations
- @inline()
- final def mkString(start: String, sep: String, end: String): String
- Definition Classes
- IterableOnceOps
- final def ne(arg0: AnyRef): Boolean
- Definition Classes
- AnyRef
- def newSpecificBuilder: Builder[T, IndexedSeq[T]]
- Attributes
- protected
- Definition Classes
- IterableFactoryDefaults → IterableOps
- def nonEmpty: Boolean
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecatedOverriding()
- def notWaivedOrSqueezedOrExcluded: Boolean
True if no members are waived or squeezed or excluded
True if no members are waived or squeezed or excluded
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- final def notify(): Unit
- Definition Classes
- AnyRef
- Annotations
- @native()
- final def notifyAll(): Unit
- Definition Classes
- AnyRef
- Annotations
- @native()
- def occCounts[B](sq: Seq[B]): Map[B, Int]
- Attributes
- protected[collection]
- Definition Classes
- SeqOps
- macro def onlyIndexWhere(p: (T) => Bool): UInt
Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.
Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.
The implementation may be more efficient than a priority mux, but incorrect results are possible if there is not exactly one true element.
- Definition Classes
- VecLike
- Note
the assumption that there is only one element for which p outputs true is NOT checked (useful in cases where the condition doesn't always hold, but the results are not used in those cases)
- def orElse[A1 <: Int, B1 >: T](that: PartialFunction[A1, B1]): PartialFunction[A1, B1]
- Definition Classes
- PartialFunction
- def padTo[B >: T](len: Int, elem: B): IndexedSeq[B]
- Definition Classes
- SeqOps
- def parentModName: String
- Definition Classes
- HasId → InstanceId
- def parentPathName: String
- Definition Classes
- HasId → InstanceId
- def partition(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
- Definition Classes
- IterableOps
- def partitionMap[A1, A2](f: (T) => Either[A1, A2]): (IndexedSeq[A1], IndexedSeq[A2])
- Definition Classes
- IterableOps
- def patch[B >: T](from: Int, other: IterableOnce[B], replaced: Int): IndexedSeq[B]
- Definition Classes
- SeqOps
- def pathName: String
- Definition Classes
- HasId → InstanceId
- def permutations: Iterator[IndexedSeq[T]]
- Definition Classes
- SeqOps
- def prepended[B >: T](elem: B): IndexedSeq[B]
- Definition Classes
- IndexedSeqOps → SeqOps
- def prependedAll[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
- Definition Classes
- SeqOps
- def product[B >: T](implicit num: Numeric[B]): B
- Definition Classes
- IterableOnceOps
- def readOnly(implicit sourceInfo: SourceInfo): Vec[T]
Returns a read-only view of this Data
Returns a read-only view of this Data
It is illegal to connect to the return value of this method. This Data this method is called on must be a hardware type.
- Implicit
- This member is added by an implicit conversion from Vec[T] toAsReadOnly[Vec[T]] performed by method AsReadOnly in chisel3.Data.
- Definition Classes
- AsReadOnly
- def reduce[B >: T](op: (B, B) => B): B
- Definition Classes
- IterableOnceOps
- def reduceLeft[B >: T](op: (B, T) => B): B
- Definition Classes
- IterableOnceOps
- def reduceLeftOption[B >: T](op: (B, T) => B): Option[B]
- Definition Classes
- IterableOnceOps
- def reduceOption[B >: T](op: (B, B) => B): Option[B]
- Definition Classes
- IterableOnceOps
- def reduceRight[B >: T](op: (T, B) => B): B
- Definition Classes
- IterableOnceOps
- def reduceRightOption[B >: T](op: (T, B) => B): Option[B]
- Definition Classes
- IterableOnceOps
- macro def reduceTree(redOp: (T, T) => T, layerOp: (T) => T): T
A reduce operation in a tree like structure instead of sequentially
A reduce operation in a tree like structure instead of sequentially
A pipelined adder tree
val sumOut = inputNums.reduceTree( (a: T, b: T) => RegNext(a + b), (a: T) => RegNext(a) )
Example: - macro def reduceTree(redOp: (T, T) => T): T
A reduce operation in a tree like structure instead of sequentially
A reduce operation in a tree like structure instead of sequentially
An adder tree
val sumOut = inputNums.reduceTree((a: T, b: T) => (a + b))
Example: - def reverse: IndexedSeq[T]
- Definition Classes
- IndexedSeqOps → SeqOps
- def reverseIterator: Iterator[T]
- Definition Classes
- IndexedSeqOps → SeqOps
- def reversed: Iterable[T]
- Attributes
- protected
- Definition Classes
- IndexedSeqOps → IterableOnceOps
- def runWith[U](action: (T) => U): (Int) => Boolean
- Definition Classes
- PartialFunction
- def sameElements[B >: T](o: IterableOnce[B]): Boolean
- Definition Classes
- IndexedSeq → SeqOps
- def scan[B >: T](z: B)(op: (B, B) => B): IndexedSeq[B]
- Definition Classes
- IterableOps
- def scanLeft[B](z: B)(op: (B, T) => B): IndexedSeq[B]
- Definition Classes
- IterableOps → IterableOnceOps
- def scanRight[B](z: B)(op: (T, B) => B): IndexedSeq[B]
- Definition Classes
- IterableOps
- def search[B >: T](elem: B, from: Int, to: Int)(implicit ord: Ordering[B]): SearchResult
- Definition Classes
- IndexedSeqOps → SeqOps
- def search[B >: T](elem: B)(implicit ord: Ordering[B]): SearchResult
- Definition Classes
- IndexedSeqOps → SeqOps
- def segmentLength(p: (T) => Boolean, from: Int): Int
- Definition Classes
- SeqOps
- final def segmentLength(p: (T) => Boolean): Int
- Definition Classes
- SeqOps
- final def size: Int
- Definition Classes
- SeqOps → IterableOnceOps
- final def sizeCompare(that: Iterable[_]): Int
- Definition Classes
- SeqOps → IterableOps
- final def sizeCompare(otherSize: Int): Int
- Definition Classes
- SeqOps → IterableOps
- final def sizeIs: SizeCompareOps
- Definition Classes
- IterableOps
- Annotations
- @inline()
- def slice(from: Int, until: Int): IndexedSeq[T]
- Definition Classes
- IndexedSeqOps → IndexedSeqOps → IterableOps → IterableOnceOps
- def sliding(size: Int, step: Int): Iterator[IndexedSeq[T]]
- Definition Classes
- IterableOps
- def sliding(size: Int): Iterator[IndexedSeq[T]]
- Definition Classes
- IterableOps
- def sortBy[B](f: (T) => B)(implicit ord: Ordering[B]): IndexedSeq[T]
- Definition Classes
- SeqOps
- def sortWith(lt: (T, T) => Boolean): IndexedSeq[T]
- Definition Classes
- SeqOps
- def sorted[B >: T](implicit ord: Ordering[B]): IndexedSeq[T]
- Definition Classes
- SeqOps
- def span(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
- Definition Classes
- IterableOps → IterableOnceOps
- def splitAt(n: Int): (IndexedSeq[T], IndexedSeq[T])
- Definition Classes
- IterableOps → IterableOnceOps
- def squeeze(members: (Vec[T]) => Data*): connectable.Connectable[Vec[T]]
Select members of base to squeeze
Select members of base to squeeze
- members
functions given the base return a member to squeeze
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def squeeze: connectable.Connectable[Vec[T]]
Adds base to squeezes
Adds base to squeezes
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def squeezeAll: connectable.Connectable[Vec[T]]
Squeeze all members of base
Squeeze all members of base
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def squeezeAllAs[S <: Data](implicit ev: <:<[Vec[T], S]): connectable.Connectable[S]
Squeeze all members of base and upcast to super type
Squeeze all members of base and upcast to super type
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def squeezeEach[S <: Data](pf: PartialFunction[Data, Seq[Data]]): connectable.Connectable[Vec[T]]
Programmatically select members of base to squeeze
Programmatically select members of base to squeeze
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def startsWith[B >: T](that: IterableOnce[B], offset: Int): Boolean
- Definition Classes
- SeqOps
- def stepper[S <: Stepper[_]](implicit shape: StepperShape[T, S]): S with EfficientSplit
- Definition Classes
- IndexedSeqOps → IterableOnce
- def stringPrefix: String
- Attributes
- protected[this]
- Definition Classes
- IndexedSeq → Seq → Iterable
- def suggestName(seed: => String): Vec.this.type
Takes the first seed suggested.
Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.
Is a higher priority than
autoSeed
, in that regardless of whetherautoSeed
was called, suggestName will always take precedence.- seed
The seed for the name of this component
- returns
this object
- Definition Classes
- HasId
- def sum[B >: T](implicit num: Numeric[B]): B
- Definition Classes
- IterableOnceOps
- final def synchronized[T0](arg0: => T0): T0
- Definition Classes
- AnyRef
- def tail: IndexedSeq[T]
- Definition Classes
- IterableOps
- def tails: Iterator[IndexedSeq[T]]
- Definition Classes
- IterableOps
- def take(n: Int): IndexedSeq[T]
- Definition Classes
- IndexedSeqOps → IterableOps → IterableOnceOps
- def takeRight(n: Int): IndexedSeq[T]
- Definition Classes
- IndexedSeqOps → IterableOps
- def takeWhile(p: (T) => Boolean): IndexedSeq[T]
- Definition Classes
- IterableOps → IterableOnceOps
- def tapEach[U](f: (T) => U): IndexedSeq[T]
- Definition Classes
- IterableOps → IterableOnceOps
- def to[C1](factory: Factory[T, C1]): C1
- Definition Classes
- IterableOnceOps
- final def toAbsoluteTarget: ReferenceTarget
Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph
Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph
- Definition Classes
- NamedComponent → InstanceId
- def toArray[B >: T](implicit arg0: ClassTag[B]): Array[B]
- Definition Classes
- IterableOnceOps
- final def toBuffer[B >: T]: Buffer[B]
- Definition Classes
- IterableOnceOps
- Annotations
- @inline()
- final def toIndexedSeq: IndexedSeq[T]
- Definition Classes
- IndexedSeq → IterableOnceOps
- def toList: List[T]
- Definition Classes
- IterableOnceOps
- def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
- Definition Classes
- IterableOnceOps
- final def toNamed: ComponentName
Returns a FIRRTL ComponentName that references this object
Returns a FIRRTL ComponentName that references this object
- Definition Classes
- NamedComponent → InstanceId
- Note
Should not be called until circuit elaboration is complete
- def toPrintable: Printable
Default "pretty-print" implementation Analogous to printing a Seq Results in "Vec(elt0, elt1, ...)"
- final def toRelativeTarget(root: Option[BaseModule]): ReferenceTarget
Returns a FIRRTL ReferenceTarget that references this object, relative to an optional root.
Returns a FIRRTL ReferenceTarget that references this object, relative to an optional root.
If
root
is defined, the target is a hierarchical path starting fromroot
.If
root
is not defined, the target is a hierarchical path equivalent totoAbsoluteTarget
.- Definition Classes
- NamedComponent
- Note
If
,root
is defined, and has not finished elaboration, this must be called withinatModuleBodyEnd
.The NamedComponent must be a descendant of
,root
, if it is defined.This doesn't have special handling for Views.
- final def toSeq: Vec.this.type
- Definition Classes
- Seq → IterableOnceOps
- def toSet[B >: T]: Set[B]
- Definition Classes
- IterableOnceOps
- def toString(): String
- Definition Classes
- Vec → Seq → Function1 → Iterable → AnyRef → Any
- final def toTarget: ReferenceTarget
Returns a FIRRTL ReferenceTarget that references this object
Returns a FIRRTL ReferenceTarget that references this object
- Definition Classes
- NamedComponent → InstanceId
- Note
Should not be called until circuit elaboration is complete
- def toVector: Vector[T]
- Definition Classes
- IterableOnceOps
- def transpose[B](implicit asIterable: (T) => Iterable[B]): IndexedSeq[IndexedSeq[B]]
- Definition Classes
- IterableOps
- def typeName: String
Give this Vec a default, stable desired name using the supplied
Data
generator'stypeName
- def unapply(a: Int): Option[T]
- Definition Classes
- PartialFunction
- def unlift: PartialFunction[Int, B]
- def unsafe: connectable.Connectable[Data]
Connect to/from all fields regardless of Scala type, squeeze if necessary, and don't error if mismatched members
Connect to/from all fields regardless of Scala type, squeeze if necessary, and don't error if mismatched members
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def unzip[A1, A2](implicit asPair: (T) => (A1, A2)): (IndexedSeq[A1], IndexedSeq[A2])
- Definition Classes
- IterableOps
- def unzip3[A1, A2, A3](implicit asTriple: (T) => (A1, A2, A3)): (IndexedSeq[A1], IndexedSeq[A2], IndexedSeq[A3])
- Definition Classes
- IterableOps
- def updated[B >: T](index: Int, elem: B): IndexedSeq[B]
- Definition Classes
- SeqOps
- def view: IndexedSeqView[T]
- Definition Classes
- IndexedSeqOps → SeqOps → IterableOps
- final def wait(): Unit
- Definition Classes
- AnyRef
- Annotations
- @throws(classOf[java.lang.InterruptedException])
- final def wait(arg0: Long, arg1: Int): Unit
- Definition Classes
- AnyRef
- Annotations
- @throws(classOf[java.lang.InterruptedException])
- final def wait(arg0: Long): Unit
- Definition Classes
- AnyRef
- Annotations
- @throws(classOf[java.lang.InterruptedException]) @native()
- def waive(members: (Vec[T]) => Data*): connectable.Connectable[Vec[T]]
Select members of base to waive
Select members of base to waive
- members
functions given the base return a member to waive
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def waiveAll: connectable.Connectable[Vec[T]]
Waive all members of base
Waive all members of base
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def waiveAllAs[S <: Data](implicit ev: <:<[Vec[T], S]): connectable.Connectable[S]
Waive all members of base and static cast to a new type
Waive all members of base and static cast to a new type
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def waiveAs[S <: Data](members: (Vec[T]) => Data*)(implicit ev: <:<[Vec[T], S]): connectable.Connectable[S]
Select members of base to waive and static cast to a new type
Select members of base to waive and static cast to a new type
- members
functions given the base return a member to waive
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- def waiveEach[S <: Data](pf: PartialFunction[Data, Seq[Data]])(implicit ev: <:<[Vec[T], S]): connectable.Connectable[S]
Programmatically select members of base to waive and static cast to a new type
Programmatically select members of base to waive and static cast to a new type
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectable[Vec[T]] performed by method toConnectableDefault in chisel3.Data.
- Definition Classes
- Connectable
- final def widthOption: Option[Int]
Returns Some(width) if the width is known, else None.
Returns Some(width) if the width is known, else None.
- Definition Classes
- Data
- def withFilter(p: (T) => Boolean): WithFilter[T, [_]IndexedSeq[_]]
- Definition Classes
- IterableOps
- def zip[B](that: IterableOnce[B]): IndexedSeq[(T, B)]
- Definition Classes
- IterableOps
- def zipAll[A1 >: T, B](that: Iterable[B], thisElem: A1, thatElem: B): IndexedSeq[(A1, B)]
- Definition Classes
- IterableOps
- def zipWithIndex: IndexedSeq[(T, Int)]
- Definition Classes
- IterableOps → IterableOnceOps
Shadowed Implicit Value Members
- def :#=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit
The "mono-direction connection operator", aka the "coercion operator".
The "mono-direction connection operator", aka the "coercion operator".
For
consumer :#= producer
, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '#' means to ignore flips, always drive from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes: - Connecting two
util.DecoupledIO
's would connectbits
,valid
, ANDready
from producer to consumer (despiteready
being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=- producer
the right-hand-side of the connection, all members will be driving, none will be driven-to
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableVecDefault[T] performed by method ConnectableVecDefault in chisel3.Data.This conversion will take place only if T is a subclass of Data (T <: Data).
- Shadowing
- This implicitly inherited member is ambiguous. One or more implicitly inherited members have similar signatures, so calling this member may produce an ambiguous implicit conversion compiler error.
To access this member you can use a type ascription:(vec: ConnectableVecDefault[T]).:#=(producer)(sourceInfo)
- Definition Classes
- ConnectableVecOperators
- final def :#=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit
The "mono-direction connection operator", aka the "coercion operator".
The "mono-direction connection operator", aka the "coercion operator".
For
consumer :#= producer
, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer
Symbol reference:
- ':' is the consumer side
- '=' is the producer side
- '#' means to ignore flips, always drive from producer to consumer
The following restrictions apply:
- The Chisel type of consumer and producer must be the "same shape" recursively:
- All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
- All vector types are the same length
- All bundle types have the same member names, but the flips of members can be different between producer and consumer
- The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.
Additional notes: - Connecting two
util.DecoupledIO
's would connectbits
,valid
, ANDready
from producer to consumer (despiteready
being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=- producer
the right-hand-side of the connection, all members will be driving, none will be driven-to
- Implicit
- This member is added by an implicit conversion from Vec[T] toConnectableDefault[Vec[T]] performed by method ConnectableDefault in chisel3.Data.
- Shadowing
- This implicitly inherited member is ambiguous. One or more implicitly inherited members have similar signatures, so calling this member may produce an ambiguous implicit conversion compiler error.
To access this member you can use a type ascription:(vec: ConnectableDefault[Vec[T]]).:#=(producer)(sourceInfo)
- Definition Classes
- ConnectableOpExtension
Deprecated Value Members
- def /:[B](z: B)(op: (B, T) => B): B
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T])./:(z)(op)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.foldLeft instead
- final def /:[B](z: B)(op: (B, T) => B): B
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use foldLeft instead of /:
- def :\[B](z: B)(op: (T, B) => B): B
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).:\(z)(op)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.foldRight instead
- final def :\[B](z: B)(op: (T, B) => B): B
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use foldRight instead of :\
- def aggregate[B](z: => B)(seqop: (B, T) => B, combop: (B, B) => B): B
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0)
aggregate
is not relevant for sequential collections. UsefoldLeft(z)(seqop)
instead.
- def collectFirst[B](f: PartialFunction[T, B]): Option[B]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).collectFirst(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.collectFirst(...) instead
- def companion: IterableFactory[[_]IndexedSeq[_]]
- Definition Classes
- IterableOps
- Annotations
- @deprecated @deprecatedOverriding() @inline()
- Deprecated
(Since version 2.13.0) Use iterableFactory instead
- def copyToBuffer(dest: Buffer[T]): Unit
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).copyToBuffer(dest)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.copyToBuffer(...) instead
- final def copyToBuffer[B >: T](dest: Buffer[B]): Unit
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use
dest ++= coll
instead
- def count(f: (T) => Boolean): Int
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).count(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.count(...) instead
- def exists(f: (T) => Boolean): Boolean
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).exists(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.exists(...) instead
- def filter(f: (T) => Boolean): Iterator[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).filter(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.filter(...) instead
- def find(p: (T) => Boolean): Option[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).find(p)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.find instead
- def flatMap[B](f: (T) => IterableOnce[B]): IterableOnce[B]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).flatMap(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.flatMap instead or consider requiring an Iterable
- def fold[A1 >: A](z: A1)(op: (A1, A1) => A1): A1
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).fold(z)(op)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.fold instead
- def foldLeft[B](z: B)(op: (B, T) => B): B
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).foldLeft(z)(op)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.foldLeft instead
- def foldRight[B](z: B)(op: (T, B) => B): B
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).foldRight(z)(op)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.foldRight instead
- def forall(f: (T) => Boolean): Boolean
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).forall(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.forall(...) instead
- def foreach[U](f: (T) => U): Unit
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).foreach(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.foreach(...) instead
- def formatted(fmtstr: String): String
- Implicit
- This member is added by an implicit conversion from Vec[T] toStringFormat[Vec[T]] performed by method StringFormat in scala.Predef.
- Definition Classes
- StringFormat
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.12.16) Use
formatString.format(value)
instead ofvalue.formatted(formatString)
, or use thef""
string interpolator. In Java 15 and later,formatted
resolves to the new method in String which has reversed parameters.
- def hasDefiniteSize: Boolean
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Check .knownSize instead of .hasDefiniteSize for more actionable information (see scaladoc for details)
- def isEmpty: Boolean
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).isEmpty
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.isEmpty instead
- def map[B](f: (T) => B): IterableOnce[B]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).map(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.map instead or consider requiring an Iterable
- def max(implicit ord: Ordering[T]): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).max(ord)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.max instead
- def maxBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).maxBy(f)(cmp)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.maxBy(...) instead
- def min(implicit ord: Ordering[T]): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).min(ord)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.min instead
- def minBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).minBy(f)(cmp)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.minBy(...) instead
- def mkString: String
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).mkString
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.mkString instead
- def mkString(sep: String): String
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).mkString(sep)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.mkString instead
- def mkString(start: String, sep: String, end: String): String
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).mkString(start, sep, end)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.mkString instead
- def nonEmpty: Boolean
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).nonEmpty
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.nonEmpty instead
- final def prefixLength(p: (T) => Boolean): Int
- Definition Classes
- SeqOps
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use segmentLength instead of prefixLength
- def product(implicit num: Numeric[T]): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).product(num)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.product instead
- def reduce(f: (T, T) => T): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).reduce(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.reduce(...) instead
- def reduceLeft(f: (T, T) => T): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).reduceLeft(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.reduceLeft(...) instead
- def reduceLeftOption(f: (T, T) => T): Option[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).reduceLeftOption(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.reduceLeftOption(...) instead
- def reduceOption(f: (T, T) => T): Option[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).reduceOption(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.reduceOption(...) instead
- def reduceRight(f: (T, T) => T): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).reduceRight(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.reduceRight(...) instead
- def reduceRightOption(f: (T, T) => T): Option[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).reduceRightOption(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.reduceRightOption(...) instead
- final def repr: IndexedSeq[T]
- Definition Classes
- IterableOps
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use coll instead of repr in a collection implementation, use the collection value itself from the outside
- def reverseMap[B](f: (T) => B): IndexedSeq[B]
- Definition Classes
- SeqOps
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .reverseIterator.map(f).to(...) instead of .reverseMap(f)
- def sameElements[B >: A](that: IterableOnce[B]): Boolean
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).sameElements(that)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.sameElements instead
- def seq: Vec.this.type
- Definition Classes
- Iterable
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Iterable.seq always returns the iterable itself
- def size: Int
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).size
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.size instead
- def sum(implicit num: Numeric[T]): T
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).sum(num)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.sum instead
- def to[C1](factory: Factory[T, C1]): C1
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).to(factory)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.to(factory) instead
- def toArray[B >: A](implicit arg0: ClassTag[B]): Array[B]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toArray(arg0)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.toArray
- def toBuffer[B >: A]: Buffer[B]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toBuffer
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.to(ArrayBuffer) instead
- def toIndexedSeq: IndexedSeq[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toIndexedSeq
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.toIndexedSeq instead
- final def toIterable: Iterable[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toIterable
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.to(Iterable) instead
- final def toIterable: Vec.this.type
- Definition Classes
- Iterable → IterableOps
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.7) toIterable is internal and will be made protected; its name is similar to
toList
ortoSeq
, but it doesn't copy non-immutable collections
- def toIterator: Iterator[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toIterator
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator instead
- final def toIterator: Iterator[T]
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator instead of .toIterator
- def toList: List[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toList
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.to(List) instead
- def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toMap(ev)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.to(Map) instead
- def toSeq: Seq[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toSeq
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.to(Seq) instead
- def toSet[B >: A]: Set[B]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toSet
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.to(Set) instead
- def toStream: Stream[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toStream
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.to(LazyList) instead
- final def toStream: Stream[T]
- Definition Classes
- IterableOnceOps
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .to(LazyList) instead of .toStream
- final def toTraversable: Traversable[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toTraversable
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.to(Iterable) instead
- final def toTraversable: Traversable[T]
- Definition Classes
- IterableOps
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) toTraversable is internal and will be made protected; its name is similar to
toList
ortoSeq
, but it doesn't copy non-immutable collections
- def toVector: Vector[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).toVector
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use .iterator.to(Vector) instead
- final def union[B >: T](that: Seq[B]): IndexedSeq[B]
- Definition Classes
- SeqOps
- Annotations
- @deprecated @inline()
- Deprecated
(Since version 2.13.0) Use
concat
instead
- def view(from: Int, until: Int): IndexedSeqView[T]
- Definition Classes
- IndexedSeqOps → IterableOps
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .view.slice(from, until) instead of .view(from, until)
- def withFilter(f: (T) => Boolean): Iterator[T]
- Implicit
- This member is added by an implicit conversion from Vec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
- Shadowing
- This implicitly inherited member is shadowed by one or more members in this class.
To access this member you can use a type ascription:(vec: IterableOnceExtensionMethods[T]).withFilter(f)
- Definition Classes
- IterableOnceExtensionMethods
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use .iterator.withFilter(...) instead
- def →[B](y: B): (Vec[T], B)
- Implicit
- This member is added by an implicit conversion from Vec[T] toArrowAssoc[Vec[T]] performed by method ArrowAssoc in scala.Predef.This conversion will take place only if T is a subclass of Option[Nothing] (T <: Option[Nothing]).
- Definition Classes
- ArrowAssoc
- Annotations
- @deprecated
- Deprecated
(Since version 2.13.0) Use
->
instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.
Inherited from VecLike[T]
Inherited from IndexedSeq[T]
Inherited from IndexedSeqOps[T, IndexedSeq, IndexedSeq[T]]
Inherited from IndexedSeq[T]
Inherited from IndexedSeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]
Inherited from Seq[T]
Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]
Inherited from Seq[T]
Inherited from Equals
Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]
Inherited from PartialFunction[Int, T]
Inherited from (Int) => T
Inherited from Iterable[T]
Inherited from Iterable[T]
Inherited from IterableFactoryDefaults[T, [x]IndexedSeq[x]]
Inherited from IterableOps[T, [_]IndexedSeq[_], IndexedSeq[T]]
Inherited from IterableOnceOps[T, [_]IndexedSeq[_], IndexedSeq[T]]
Inherited from IterableOnce[T]
Inherited from Aggregate
Inherited from Data
Inherited from SourceInfoDoc
Inherited from NamedComponent
Inherited from HasId
Inherited from InstanceId
Inherited from AnyRef
Inherited from Any
Inherited by implicit conversion iterableOnceExtensionMethods fromVec[T] to IterableOnceExtensionMethods[T]
Inherited by implicit conversion AsReadOnly fromVec[T] to AsReadOnly[Vec[T]]
Inherited by implicit conversion DataEquality fromVec[T] to DataEquality[Vec[T]]
Inherited by implicit conversion toConnectableDefault fromVec[T] to Connectable[Vec[T]]
Inherited by implicit conversion ConnectableVecDefault fromVec[T] to ConnectableVecDefault[T]
Inherited by implicit conversion ConnectableDefault fromVec[T] to ConnectableDefault[Vec[T]]
connection
Ungrouped
SourceInfoTransformMacro
These internal methods are not part of the public-facing API!
The equivalent public-facing methods do not have the do_
prefix or have the same name. Use and look at the
documentation for those. If you want left shift, use <<
, not do_<<
. If you want conversion to a
Seq of Bools look at the asBools
above, not the one below. Users can safely ignore
every method in this group!
🐉🐉🐉 Here be dragons... 🐉🐉🐉
These do_X
methods are used to enable both implicit passing of SourceInfo
while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your
designs, are converted to their "hidden", do_*
, via macro transformations. Without using macros here, only one
of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a
chained apply as an explicit 'implicit' argument and will throw type errors.
The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method
into a call to an internal, hidden do_*
that takes an explicit SourceInfo by inserting an
implicitly[SourceInfo]
as the explicit argument.